半導体ニュースまとめ【2023年9月】

2023年

 2023年9月に出された半導体関連の記事やニュースを、日ごとにブックマーク形式でまとめています。当日の22時時点の関連記事をまとめて翌日朝7時に更新しています。朝の通勤のお供や仕事前の情報収集にお役立ていただけますと幸いです。
 更新の通知はツイッターにて朝7時にツイートしておりますので、是非フォローをお願いします。
@1p_semicon

 また、弊ブログでは半導体業界の業界研究をテーマに記事を書いております。他の記事もぜひご覧ください!

🐇の半導体勉強部屋

9月1日

東京エレクトロン、半導体装置の開発力引き出す新棟|日本経済新聞[記事]

レーザー技術専業の光響・住村CEO「業界の基盤企業に」|日本経済新聞[記事]

米欧半導体大手、北海道へ進出表明 経産相が呼びかけ|日本経済新聞[記事]

ラピダス小池社長「千年に一度のチャンス」 一問一答|日本経済新聞[記事]

JX金属とインテル、半導体製造過程の銅 再利用で協定|日本経済新聞[記事]

JX金属とIntelとの間におけるGreen Enabling Partnershipの構築について
―サステナブルな銅の生産、普及に向けた覚書の締結―|リンク

トリケミカル、24年1月期純利益45%減に下方修正|日本経済新聞[記事]

味の素「半導体」のうまみ フィルム化が成功の鍵に|日本経済新聞[記事]

ラピダス「半導体ムラ」にimecとラムリサーチ、北海道に拠点設立|日経XTECH[記事]

ラピダス起工式に半導体大手トップがそろい踏み、岸田首相もメッセージ|日経XTECH[記事]

ラピダス東会長、国内外企業との連携が成功の力に-北海道で起工式|Bloomberg[記事]

ブロードコムの売上高見通し、市場予想下回る-需要低迷続く|Bloomberg[記事]

熊本ー台北線 スターラックス定期便就航 10月29日からは毎日運航へ[記事]

先端半導体国産化へ 「Rapidus(ラピダス)」新工場 起工式[記事]

Rapidus株式会社、Rapidus、IIM-1の起工式を開催|リンク

PR

注目ニュースまとめ(8月28日~9月3日)

【国内のニュース】

三菱電機、パワー半導体工場に新ライン 300ミリ対応|日本経済新聞[記事]

TSMCの子会社JASM、地下水の採取許可を菊陽町に申請 1日に1万2千立方メートル計画[記事]

TSMC・半導体集積効果、熊本に6.9兆円 九州FG試算|日本経済新聞[記事]

ニコン、25年ぶりとなる縮小投影倍率5倍のi線露光装置「NSR-2205iL1」を発売|TECH+[記事]

JX金属とIntelとの間におけるGreen Enabling Partnershipの構築について
―サステナブルな銅の生産、普及に向けた覚書の締結―|リンク

Rapidus株式会社、Rapidus、IIM-1の起工式を開催|リンク

【海外のニュース】

中国、半導体規制前に設備を駆け込み購入…6-7月の輸入70%増[記事]

半導体市場の縮小に底打ちの兆し。生産の本格回復は2024年前半か(世界)[記事]

9月4日

中国EV開発大手、日本の半導体商社と合弁 安定調達狙う|日本経済新聞[記事]

株式会社リョーサン IAT Automobile Technology Co., Ltd. (阿爾特汽車技術股份有限公司)との 合弁会社設立に関する基本合意のお知らせ|リンク

信越化学など、パワー半導体素材の新製法 コスト9割超減|日本経済新聞[記事]

Rapidus、最先端半導体工場「IIM-1」の起工式を北海道千歳市にて開催|TECH+[記事]

オランダが9月1日より先端半導体製造装置の輸出規制を開始|TECH+[記事]

Samsungが12nmクラスプロセス採用の32GビットDDR5を発表、年末にも量産を開始|TECH+[記事]

ファーウェイ最新スマホに国産7ナノ先端半導体-米制裁に対応|Bloomberg[記事]

モビリティーの電動化で電磁ノイズの発生源が増加:福田昭のデバイス通信(417) 2022年度版実装技術ロードマップ(41)- EE Times Japan[記事]

東芝D&S、4端子パッケージのSiC MOSFETを発売:スイッチング損失を低減 – EE Times Japan[記事]

Global Smartphone Production Reaches 272 Million Units in Q2, Transsion Debuts in Global Top 5 for the First Time, Says TrendForce[記事]

9月5日

半導体装置のKOKUSAI、10月上場へ 想定時価4000億円|日本経済新聞[記事]

信越化学工業、GaNパワーデバイスの真の社会実装に向けて、QST®基板事業をさらに推進|リンク

沖電気工業、信越化学のQST基板上でGaNの剥離/接合技術を開発|リンク

産総研、積層セラミックコンデンサのための積層構造の大幅な薄層化を実現|TECH+[記事]

2023年第2四半期のDRAM市場は前四半期比20.4%増、TrendForce調べ|TECH+[記事]

半導体AI設計支援の威力、ソニーセミコン「設計工数が30分の1に」|日経XTECH[記事]

量子コンピューター実用化の鍵は制御装置とソフト、Q2B23 Tokyoリポート|日経XTECH[記事]

中国が6兆円規模の基金設立へ、半導体製造の推進で-報道|Bloomberg[記事]

SiCパワーデバイス市場、2028年に90億米ドル規模に:CAGR31%で成長へ – EE Times Japan[記事]

Intel、パッケージング技術で「ガラス基板」に注目:有機基板とは異なる選択肢 – EE Times Japan[記事]

国内半導体産業にテコ入れ…ラピダス、海外の先端ノウハウ取り入れ[記事]

Top Ten Semiconductor Foundries Report a 1.1% Quarterly Revenue Decline in 2Q23, Anticipated to Rebound in 3Q23, Says TrendForce[記事]

9月6日

NTTの「IOWN」新会社 売上高数千億円、早期に実現|日本経済新聞[記事]

KOKUSAI上場、半導体誘致合戦追い風 買収断念越えて|日本経済新聞[記事]

OKI、一時ストップ高 信越化学と半導体素材開発を好感|日本経済新聞[記事]

日立Astemo、ADAS向けのAstemoステレオカメラにZynq UltraScale+を採用へ|TECH+[記事]

光電融合デバイスの幅広い市場への適用を目指すNTTイノベーティブデバイスが始動|TECH+[記事]

東北大、トポロジカル物質を基にしたスピンホール材料の探索方法を実証|TECH+[記事]

ファーウェイ採用の中国製半導体、詳細把握目指す-米政府|Bloomberg[記事]

アップル株1カ月ぶり大幅安、中国政府機関でiPhone使用禁止報道|Bloomberg[記事]

「DXがアナログ半導体の需要を加速する」 ADI日本法人社長 中村氏:シグナルチェーンの出入り口を担う – EE Times Japan[記事]

40年前から「工業排水の放流ゼロ」、日本TI美浦工場:超純水に変えて再利用 – EE Times Japan[記事]

Intel、Towerにファウンドリーサービス提供へ:米国ニューメキシコ州のFab 11X – EE Times Japan[記事]

Intel、パッケージング技術で「ガラス基板」に注目:有機基板とは異なる選択肢 – EE Times Japan[記事]

TSMCは早くも第4工場…「半導体狂想曲」に期待と不安、熱狂の陰で忍び寄る課題[記事]

TSMC第2工場誘致を表明 熊本・菊陽町長、第1工場隣接地に 「国の経済安保にも貢献できる」[記事]

Limited Impact of New iPhones on Global Smartphone CIS Market, Forecast of 3.2% Yearly Decline in Shipments for 2023, Says TrendForce[記事]

シリコンカーバイド(SiC)量子センサーの高感度化を実現! ~次世代パワー半導体の信頼性向上へ~ | 日本の研究.com[記事]

台湾TSMC、アームIPO投資巡り今週判断=会長[記事]

“スマホの中に光を連れていく”――NTT新会社がIOWN構想に向け取り組む「光電融合デバイス」とは[記事]

NTT 次世代ネット「IOWN」の中核装置 関西万博で公開の計画[記事]

9月7日

北海道の4高専、半導体専門科目を新設 2024年度から|日本経済新聞[記事]

九州の産官学、台湾の研究機関と覚書 半導体技術交流で|日本経済新聞[記事]

ラピダス水源候補地、千歳川と安平川に絞り込む|日本経済新聞[記事]

ソニー系、電磁波で発電する部品開発 家電を省エネ|日本経済新聞[記事]

TSMC進出、九州FG社長「リスクとる」 企業に投資促す|日本経済新聞[記事]

東北大など、酸化物の熱的相変化による「電気抵抗スイッチング」を実現|TECH+[記事]

量研機構、SiC半導体製量子センサによる温度測定で120℃までの計測を達成|TECH+[記事]

半導体産業の本格的な回復は2024年にずれ込む – SEMICON Taiwan 2023|TECH+[記事]

中国、iPhone使用禁止を国有企業や政府部門に拡大目指す-関係者|Bloomberg[記事]

SKハイニックス、中国ファーウェイ製品内の自社製半導体巡り調査|Bloomberg[記事]

世界半導体市場が5カ月連続で回復、SIA:23年7月は前月比2.3%増 – EE Times Japan[記事]

パワー半導体の性能向上…量研機構がSiC量子センサーの温度測定感度10倍[記事]

SiCウエハーの製造コスト30%低減 ドライケミカルズが新プロセス[記事]

MediaTek、TSMC の 3nm プロセス技術を使用したチップの開発に初めて成功、2024 年に量産開始[記事]

PR

PR

注目ニュースまとめ(9月4~10日)

【国内のニュース】

株式会社リョーサン IAT Automobile Technology Co., Ltd. (阿爾特汽車技術股份有限公司)との 合弁会社設立に関する基本合意のお知らせ|リンク

半導体装置のKOKUSAI、10月上場へ 想定時価4000億円|日本経済新聞[記事]

信越化学工業、GaNパワーデバイスの真の社会実装に向けて、QST®基板事業をさらに推進|リンク

沖電気工業、信越化学のQST基板上でGaNの剥離/接合技術を開発|リンク

TSMC第2工場誘致を表明 熊本・菊陽町長、第1工場隣接地に 「国の経済安保にも貢献できる」[記事]

【海外のニュース】

ファーウェイ最新スマホに国産7ナノ先端半導体-米制裁に対応|Bloomberg[記事]

中国が6兆円規模の基金設立へ、半導体製造の推進で-報道|Bloomberg[記事]

Intel、Towerにファウンドリーサービス提供へ:米国ニューメキシコ州のFab 11X – EE Times Japan[記事]

MediaTek、TSMC の 3nm プロセス技術を使用したチップの開発に初めて成功、2024 年に量産開始[記事]

9月11日

ウシオ電機、名大発新興に出資 半導体検査装置で協業|日本経済新聞[記事]

SCREEN「ヒラギノフォント」世界開拓、Adobeと提携|日本経済新聞[記事]

ST、産業機器/IH調理器などに向けた高効率な1350V耐圧 IGBTを発表|TECH+[記事]

ST、車載/産業機器向け最大175℃動作可能な低ドリフト高精度オペアンプを発表|TECH+[記事]

ルネサスとInventec、次世代車載ゲートウェイ向けPoCの共同開発で協業|TECH+[記事]

エヌビディア、インドのタタやリライアンスと提携へ-AI開発で|Bloomberg[記事]

半導体製造装置販売額、23年2Qは前年同期比2%減:2023年1Qに比べて4%減 – EE Times Japan[記事]

最大25セル対応、車載向けバッテリー監視チップセット:バッテリーパックの小型化に貢献 – EE Times Japan[記事]

Micron、メモリ拡張モジュールをサンプル出荷:CXL 2.0 Type 3をサポート – EE Times Japan[記事]

NAND Flash Prices Expected to Stabilize and Rebound in Q4, Projected to Remain Steady or Increase 0-5%, Says TrendForce[記事]

デンソー、完全子会社のエヌエスアイテクスを吸収合併へ[記事]

TSMCがAI向けSiフォトニクス、25年量産か(トップニュース)/台湾[記事]

NEDO、「ポスト5G情報通信システム基盤強化研究開発事業/先端半導体製造技術の開発(助成)」に係る公募について|リンク

TSMC進出の渋滞緩和へ 無料の通勤バスの実証実験始まる[記事]

9月12日

レーザーテック、高輝度 EUV プラズマ光源を開発 マスク欠陥検査装置 ACTIS シリーズへ適用|リンク

ソニーセミコンダクタソリューションズ、業界最多有効1,742万画素の車載カメラ用CMOSイメージセンサーを商品化|リンク

ラピダス量産時の下水道整備「国の支援要望」北海道知事|日本経済新聞[記事]

Apple、クアルコムの通信半導体調達契約を3年延長|日本経済新聞[記事]

韓SKC、米国の半導体パッケージングスタートアップ「Chipltez」に投資|TECH+[記事]

TowerとInnoLight、シリコンフォトニクスベースの光トランシーバ開発で提携|TECH+[記事]

三菱ケミカルG、4インチGaN基板を24年に量産へ|日経XTECH[記事]

TSMC取締役会、最大1億ドルのアーム出資を承認|Bloomberg[記事]

アームCEO、「戦略大幅シフト」で有望性訴え-投資家向けプレゼン|Bloomberg[記事]

ラピダス社長、2030年「北海道バレー」実現に意欲-半導体産業集積へ|Bloomberg[記事]

Q2 NAND Flash Revenue Up 7.4%, Anticipated to Exceed 3% Growth in Q3, Says TrendForce[記事]

米半導体製造受託大手、シンガポール生産を4割増強へ[記事]

9月13日

半導体製造装置、23年のメモリー向け46%減予測|日本経済新聞[記事]

熊本大学、TSMC進出で外国人児童・生徒の指導者育成|日本経済新聞[記事]

韓国政府、半導体先端パッケージング技術開発の官民協業覚書きを締結|TECH+[記事]

韓国政府が車載半導体専業のBOSなど韓国内の有望ファブレス5社を表彰|TECH+[記事]

サムスン、スマホ向けDRAMとNAND型10-20%値上げ-報道|Bloomberg[記事]

ソフトバンクG傘下アーム、IPO価格きょう決定-旺盛な需要反映か|Bloomberg[記事]

GFがシンガポールに300mm新工場開設、40億ドル投じ:年間45万枚の生産能力を追加 – EE Times Japan[記事]

センサー/関連製品、2029年は約12兆円規模へ:自動運転車やXR機器向けが貢献 – EE Times Japan[記事]

Foldable Smartphone Market Penetration Estimated at 1.6% in 2023, with Potential to Exceed 5% by 2027, Says TrendForce[記事]

宇都宮大、パデュー大、北海道大、広島大 「EUV 光源の世界最高変換効率(理論値)10.3%の達成  超微細の先端半導体製造の省エネ化にブレークスルー」|リンク

9月14日

🐇の半導体勉強部屋

ローム初のシリコンキャパシタ「BTD1RVFLシリーズ」を開発 面実装タイプの量産品で業界最小の0402サイズを実現!スマートフォンなどの省スペース化に貢献|リンク

ケイデンスがPCB設計を最大で5倍高速化する次世代AIドリブンOrCAD Xを発表 Cadence OnCloudで利用可能に|リンク

ケイデンスがプロセッサIP新製品Neo NPU IPおよびNeuroWeave SDKにより、 オンデバイスおよびエッジAIのパフォーマンスと効率を向上|リンク

SCREEN、彦根に水素関連の新棟 110億円投資|日本経済新聞[記事]

SCREENホールディングス、彦根事業所において成長投資を実施|リンク

ジェイ・イー・ティ、半導体洗浄装置を製造|日本経済新聞[記事]

東芝、主要子会社の間接部門を集約 事業連携を促進|日本経済新聞[記事]

Samsungが後工程ラインの一部を無人化、2030年までに工場全体を無人化へ 韓国メディア報道|TECH+[記事]

2023年の半導体産業の研究開発費総額は943億ドルで過去最高を更新見込み、TechInsights予測|TECH+[記事]

ソフトバンクG傘下のアームが48.7億ドル調達-今年最大のIPO|Bloomberg[記事]

ボタン1つでSiC/GaNパワーモジュールの動特性を評価:「業界最高」の正確性- EE Times Japan[記事]

9月15日

住友大阪セメント、半導体製造装置の主要部品であるESC(静電チャック)の生産能力増強|リンク

東京エレクトロンデバイス(2760)、日本エレクトロセンサリデバイス株式会社よりウェーハ検査装置事業を譲り受け|リンク

京三製作所、政策保有株を売却 24年3月期純利益上振れ|日本経済新聞[記事]

半導体商社の台湾・文曄、カナダ同業を5600億円で買収|日本経済新聞[記事]

iモードの轍は踏まない 「IOWN」に期す澤田氏の思い|日本経済新聞[記事]

クアルコムがFDDのみで5G高速化、上り2本/下り4本の同時CA試験を実施|日経XTECH[記事]

「サムスンがエヌビディアにHBM3供給」一斉報道のインパクト、車載事業も強化へ|日経XTECH[記事]

アップルのiPhone 15 Pro、すでに配送時期が後ずれ-旺盛な需要示唆|Bloomberg[記事]

ファーウェイ最新スマホ、数年前からあったハイニックス製メモリ使用|Bloomberg[記事]

台湾TSMC、半導体製造装置の納入延期を取引メーカーに要請=関係者[記事]

PR

注目ニュースまとめ(9月11~17日)

【国内のニュース】

デンソー、完全子会社のエヌエスアイテクスを吸収合併へ[記事]

ルネサスとInventec、次世代車載ゲートウェイ向けPoCの共同開発で協業|TECH+[記事]

レーザーテック、高輝度 EUV プラズマ光源を開発 マスク欠陥検査装置 ACTIS シリーズへ適用|リンク

ソニーセミコンダクタソリューションズ、業界最多有効1,742万画素の車載カメラ用CMOSイメージセンサーを商品化|リンク

ローム初のシリコンキャパシタ「BTD1RVFLシリーズ」を開発 面実装タイプの量産品で業界最小の0402サイズを実現!スマートフォンなどの省スペース化に貢献|リンク

SCREEN、彦根に水素関連の新棟 110億円投資|日本経済新聞[記事]

住友大阪セメント、半導体製造装置の主要部品であるESC(静電チャック)の生産能力増強|リンク

東京エレクトロンデバイス(2760)、日本エレクトロセンサリデバイス株式会社よりウェーハ検査装置事業を譲り受け|リンク

宇都宮大、パデュー大、北海道大、広島大 「EUV 光源の世界最高変換効率(理論値)10.3%の達成  超微細の先端半導体製造の省エネ化にブレークスルー」|リンク

【海外のニュース】

TSMCがAI向けSiフォトニクス、25年量産か(トップニュース)/台湾[記事]

TSMC取締役会、最大1億ドルのアーム出資を承認|Bloomberg[記事]

ソフトバンクG傘下のアームが48.7億ドル調達-今年最大のIPO|Bloomberg[記事]

半導体商社の台湾・文曄、カナダ同業を5600億円で買収|日本経済新聞[記事]

台湾TSMC、半導体製造装置の納入延期を取引メーカーに要請=関係者[記事]

PR

9月19日

ソフトバンクG、英アーム売り出しで7600億円受領|日本経済新聞[記事]

日本企業は5社ランクイン、2023年上期の半導体製造装置企業売上高ランキングトップ10|TECH+[記事]

半導体前工程装置への投資は2023年に減速も2024年は回復へ、SEMI予測|TECH+[記事]

TSMCと米アリゾナ州、先端半導体パッケージング投資巡り協議|Bloomberg[記事]

3棟目の工場、10月着工 半導体製造装置の荏原製作所熊本事業所 南関町と立地協定[記事]

経産相、経済対策で民間投資後押し AIや半導体支援[記事]

TSMC、米アリゾナ新工場に2度目の増資=経済部が承認/台湾[記事]

米インテルが半導体関連子会社の株式約10%をTSMCに売却と発表[記事]

伯東株式会社(7433)、伯東がルネサスからパワー半導体 300mm ウェーハ用 高温ファーネスを受注[記事]

9月20日

ファーウェイ、監視カメラ向け半導体出荷か 内製化進む|日本経済新聞[記事]

東芝へのTOB成立へ、国内連合が3分の2以上取得見通し|日本経済新聞[記事]

ファーウェイ孟副会長、AIに注力 「5Gスマホ」は触れず|日本経済新聞[記事]

堀場製作所、福知山の半導体研究拠点に新棟 開発を強化|日本経済新聞[記事]

Intel、第5世代Xeon SPとMeteor Lakeを12月より発売と発表|TECH+[記事]

SambaNova、最大5兆個のパラメータモデルを実行可能なLLM向けAI半導体「SN40L」を発表|TECH+[記事]

キオクシアとWD経営統合、3メガなど2兆円の融資で支援-関係者|Bloomberg[記事]

「あらゆる所でAIを」 次期CPUで攻勢をかけるIntel:Intel Innovation 2023 – EE Times Japan[記事]

ブロードバンド専用の研究施設を公開、古河電工:年間50件のデモを実施 – EE Times Japan[記事]

台湾TSMC、ドイツ学生に職業訓練へ 半導体部門の熟練工不足に対応[記事]

米インテル、AI向け新型半導体を発表 12月発売へ[記事]

9月21日

KOKUSAI、アプライドが15%出資 10月25日上場|日本経済新聞[記事]

株式会社 KOKUSAI ELECTRIC、新規上場承認に関するお知らせ|リンク

ジェトロ、海外半導体企業の九州進出を支援 熊本に拠点|日本経済新聞[記事]

九州経産局・苗村局長「半導体教育、北海道と連携強化」|日本経済新聞[記事]

キオクシア、人員削減を検討 半導体の市況悪化で|日本経済新聞[記事]

世界の200mmウェハファブの生産能力は2026年に過去最高を更新へ、SEMI予測|TECH+[記事]

2023年第2四半期のNAND市場は前四半期比7.4%増の93億ドル、TrendForce調べ|TECH+[記事]

OKIと信越化学のGaN新製法、セミコン台湾で詳細を発表|日経XTECH[記事]

Q2 Revenue for Top 10 Global IC Houses Surges by 12.5% as Q3 on Pace to Set New Record, Says TrendForce[記事]

PR

PR

注目ニュースまとめ(9月18~24日)

【国内のニュース】

堀場製作所、福知山の半導体研究拠点に新棟 開発を強化|日本経済新聞[記事]

キオクシアとWD経営統合、3メガなど2兆円の融資で支援-関係者|Bloomberg[記事]

株式会社 KOKUSAI ELECTRIC、新規上場承認に関するお知らせ|リンク

キオクシア、人員削減を検討 半導体の市況悪化で|日本経済新聞[記事]

半導体・蓄電池など生産、長期で税優遇 政府経済対策|日本経済新聞[記事]

三菱ケミカル、半導体材料の国内工場 TSMC進出で商機|日本経済新聞[記事]

【海外のニュース】

TSMCと米アリゾナ州、先端半導体パッケージング投資巡り協議|Bloomberg[記事]

TSMC、米アリゾナ新工場に2度目の増資=経済部が承認/台湾[記事]

米インテルが半導体関連子会社の株式約10%をTSMCに売却と発表[記事]

Intel、第5世代Xeon SPとMeteor Lakeを12月より発売と発表|TECH+[記事]

インテルにEUが600億円の制裁金、CPU市場での競争法違反巡り|Bloomberg[記事]

9月25日

岡山のジェイ・イー・ティ、半導体洗浄装置で新工場|日本経済新聞[記事]

SCREEN、33年3月期に売上高1兆円超 半導体装置伸ばす|日本経済新聞[記事]

TOWA、複数半導体の封止装置 TSMCに出荷見通し|日本経済新聞[記事]

TSMCの2nm量産開始は需要低迷で2026年にずれ込む可能性、台湾メディア報道|TECH+[記事]

米国商務省、CHIPS法の国家安全保障ガードレールの最終確定版を発表|TECH+[記事]

200mmファブ生産能力が26年に14%増、パワー半導体と車載向けがけん引|日経XTECH[記事]

200mmファブの生産能力、2026年までに過去最高へ:自動車市場の成長に強い期待 – EE Times Japan[記事]

ローム(6963)、子会社のラピステクノロジーを吸収合併|子会社の吸収合併(簡易合併・略式合併)に関するお知らせ[記事]

山梨大と「インテル」がAIセミナー 教職員や学生向け[記事]

米商務省、CHIPSプラス法のガードレール条項の最終規則を公表[記事]

熊本大学 半導体人材育成などで東大と協定 東大分室オープン[記事]

NEDO、「ポスト5G情報通信システム基盤強化研究開発事業/先端半導体製造技術の開発」に係る公募について|リンク

9月26日

TOWA(6315)、「生成 AI 向け半導体の生産に最適な装置「YPM1250-EPQ」を開発」|リンク

半導体装置ASML、北海道に新拠点 ラピダス工場に協力|日本経済新聞[記事]

半導体メモリー販売、最悪期脱す 価格は下げ止まり|日本経済新聞[記事]

「TSMC高速」整備急ぐ 中九州横断道、九州道と直結へ|日本経済新聞[記事]

2023年9月のIntel Tech Talkはガラス基板や次世代CPUについて紹介|TECH+[記事]

MEMS市場規模は2022年の145億ドルから2028年に200億ドルまで成長、Yole予測|TECH+[記事]

「SMICの5nmプロセスへの道筋は良好」と観測筋:米国の対中戦略を揺るがす躍進 – EE Times Japan[記事]

 TSMC’s 3nm Wins Big Qualcomm 5G Deal, Outshines Samsung, Intel[記事]

TSMC Deploys Manpower to Support Longtan and Tainan Facilities Amid CoWoS and 3nm Demand[記事]

UMC and ASE Weigh Price Increases in Booming Advanced Packaging Market[記事]

9月27日

札幌市が半導体支援組織 人材育成や住環境整備|日本経済新聞[記事]

AI半導体「米国生産を積極化」 AMDのリサ・スーCEO|日本経済新聞[記事]

2023年第2四半期のファブレス半導体売上高ランキングトップ10、TrendForce調べ|TECH+[記事]

低抵抗の樹脂電極MLCCを従来比2倍に大容量化、TDK:独自開発の電極構造 – EE Times Japan[記事]

米、サムスン・SKの中国輸出規制の無期限猶予を早ければ今週にも通知[記事]

9月28日

ラピダス専務、技術者「毎月20〜30人採用」千歳で講演|日本経済新聞[記事]

ラピダス工場水源地、安平川が有力 事業費最大200億円|日本経済新聞[記事]

日亜化学、1〜6月売上最高も大幅減益 原材料高響く|日本経済新聞[記事]

OpenAIが元Apple幹部と端末新会社、孫氏参画 FT報道|日本経済新聞[記事]

マイクロン23年8月期、最終赤字8700億円 中国規制響く|日本経済新聞[記事]

米国国防総省、GFから総額31億ドルの半導体を調達する10年契約を締結|TECH+[記事]

GFが40億ドルをかけたシンガポール工場の新棟が稼働を開始|TECH+[記事]

ローム、LiDARの性能向上に貢献する高出力レーザダイオードの新製品を開発|TECH+[記事]

「Raspberry Pi 5」が登場、CPU性能が2倍以上に:2023年10月に英国で発売 – EE Times Japan[記事]

「半導体法」で競争が過熱、業界の未来はどこに向かう?:協調による発展か、“底辺への競争”か – EE Times Japan[記事]

The 2nm Semiconductor Foundry Race Between TSMC, Samsung, Rapidus Begins with an Equipment Battle[記事]

Why Did TSMC Invest in IMS Now After a Decade-Long Collaboration?[記事]

パワー半導体性能・寿命チェックを受託、キーナスデザイン来月開始[記事]

“Intel Starts High-Volume EUV Production in Ireland” (インテル、アイルランドでEUVでの製品量産を開始)[記事]

東京農工大学・大陽日酸「高純度β型酸化ガリウム結晶の高速成長を有機金属気相成長法で実現」[記事]

9月29日

Intel、アイルランドで先端半導体を量産 微細化挽回へ|日本経済新聞[記事]

AMD、法人PC向け市場開拓 更新2億台にAI性能で挑む|日本経済新聞[記事]

マイクロンに1920億円補助 経産省、経済安保で|日本経済新聞[記事]

TSMC、熊本市など地元自治体と冬期の地下水湛水で協定|日本経済新聞[記事]

レスターHD、都築電気の子会社4社を買収 総額129億円|日本経済新聞[記事]

東北大など、構造の異なる2種類のSiC結晶を積層できる新たな成長法を開発|TECH+[記事]

Micronの2023会計年度第4四半期の売上高は前年同期比40%減、第1四半期期も赤字見通し|TECH+[記事]

図研のエレキCAD、AIが人による設計に近い配置配線を提案|日経XTECH[記事]

エヌビディアが対象か、仏競争当局が現地オフィスを家宅捜索|Bloomberg[記事]

台湾の半導体装置会社「ハーメスエピテック」、大津町にサポート拠点 TSMC対応の日本法人本社も移転[記事]

PR

【#半導体】カテゴリー別 主要半導体関連銘柄
 今回は、カテゴリーごとに国内の半導体業界の主要企業をまとめてみました。今回紹介した以外の企業も含む、半導体銘柄一覧は以下をご参照ください。半導体製造装置・検査装置・装置部品(1-1) 半導体製...

注目ニュースまとめ(9月25~10月1日)

【国内のニュース】

ローム(6963)、子会社のラピステクノロジーを吸収合併|子会社の吸収合併(簡易合併・略式合併)に関するお知らせ[記事]

TOWA(6315)、「生成 AI 向け半導体の生産に最適な装置「YPM1250-EPQ」を開発」|リンク

半導体装置ASML 北海道のラピダス工場に技術支援新拠点 設置へ[記事]

マイクロンに1920億円補助 経産省、経済安保で|日本経済新聞[記事]

レスターHD、都築電気の子会社4社を買収 総額129億円|日本経済新聞[記事]

産総研、「先端半導体研究センター」を新たに設立|リンク

【海外のニュース】

米商務省、CHIPSプラス法のガードレール条項の最終規則を公表[記事]

マイクロン23年8月期、最終赤字8700億円 中国規制響く|日本経済新聞[記事]

“Intel Starts High-Volume EUV Production in Ireland” (インテル、アイルランドでEUVでの製品量産を開始)[記事]

「Raspberry Pi 5」が登場、CPU性能が2倍以上に:2023年10月に英国で発売 – EE Times Japan[記事]

9月の主要な半導体ニュース

【国内のニュース】

Rapidus株式会社、Rapidus、IIM-1の起工式を開催|リンク

信越化学工業とOKI、GaNパワーデバイス向けGaN on QST の技術発表|リンク

TSMC第2工場誘致を表明 熊本・菊陽町長、第1工場隣接地に[記事]

レーザーテック、高輝度 EUV プラズマ光源を開発|リンク

株式会社 KOKUSAI ELECTRIC、新規上場承認に関するお知らせ|リンク

キオクシア、人員削減を検討 半導体の市況悪化で|日本経済新聞[記事]

ローム(6963)、子会社のラピステクノロジーを吸収合併[記事]

TOWA(6315)、「生成 AI 向け半導体の生産に最適な装置「YPM1250-EPQ」を開発」|リンク

マイクロンに1920億円補助 経産省、経済安保で|日本経済新聞[記事]

【海外のニュース】

ファーウェイ最新スマホに国産7ナノ先端半導体-米制裁に対応|Bloomberg[記事]

Intel、Towerにファウンドリーサービス提供へ– EE Times Japan[記事]

ソフトバンクG傘下のアームが48.7億ドル調達-今年最大のIPO|Bloomberg[記事]

Intel、第5世代Xeon SPとMeteor Lakeを12月より発売と発表|TECH+[記事]

インテル、アイルランドでEUVでの製品量産を開始[記事]

コメント

タイトルとURLをコピーしました