【#半導体】「日経半導体株指数」の概要・構成30銘柄の事業解説

株式投資

日経半導体株指数

日経の指数公式サイト「日経平均プロフィル」から日々の値動きやその他詳細を確認できます。以下のリンクからアクセスください。

指数情報 - 日経平均プロフィル (nikkei.co.jp)

日経半導体株指数とは

 「日経半導体株指数」とは、日本経済新聞社が2024年3月25日から算出・公表する株価指数の一つです。東京証券取引所上場で日経NEEDS業種分類の半導体関連業種に属している企業のうち、時価総額が大きい30銘柄で構成されます。

 以下、日本経済新聞社のプレスリリースより

半導体は近年、日本の株式市場を大きく左右する重要なテーマとなっています。戦略的資源としての認識の高まりから各国・地域の政府は自国の半導体製造に関する政策を打ち出しています。日本においても政府の支援策を背景に半導体業界の動向に注目が集まっています。日本の半導体は、製造装置や素材の分野で高いシェアを誇り、パワー半導体やイメージセンサーの領域でも成長が期待されています。このような状況をふまえ、日本の半導体関連銘柄の値動きを表す指数を開発しました。東京証券取引所に上場する半導体関連銘柄のうち、時価総額が大きい30銘柄で構成します。素材関連の銘柄も対象とし、より業界の動向を表すようにしました。

日本経済新聞社、PR Times

「日経半導体株指数」の主な特徴

  • 東京証券取引所上場銘柄が対象
  • 日経NEEDS業種分類で半導体関連業種に属している銘柄。特に、NEEDS 業種分類※(小分類)で主力事業が以下の分類に属する銘柄とする。また、主力以外の事業が以下の分類に属する銘柄で、半導体関連事業の売上比率が 10%以上あり、半導体関連製品等のマーケットシェアが高い銘柄も、時価総額の大きさを考慮したうえで半導体関連銘柄に含める。

*対象となるNEEDS 業種分類(小分類):電子材料、半導体・液晶製造装置、半導体(集積回路・半導体素子)、シリコン・シリコンウエハー、フォトマスク、イメージセンサー、半導体・電子部品卸

  • 年1回、定期見直しを実施し11月末に銘柄入れ替え
  • 時価総額ウエート方式で算出(日次終値ベースで算出)、各銘柄のウエート上限は15%、半導体関連事業が主力事業でない場合は5%
  • 2011年11月末を基点(1,000)として遡及算出
  • 時価総額が大きい30銘柄で構成

「日経半導体株指数」の構成銘柄

現時点での構成銘柄(2024年3月25日時点)

 以下は2024年3月25日の算出・公表開始時点での組み入れ予定銘柄です。日本経済新聞社が公表したリストを基に、筆者が簡単な企業紹介と各種リンクを追加しています。半導体デバイス・装置・材料・部材・商社といった、半導体産業を構成する代表的な企業30社が組み入れられています。

コード企業名半導体関連事業IR情報Yahoo!ファイナンスバフェット・コード株探
2760東京エレクトロンデバイス東京エレクトロン系半導体商社。自社ブランドのモジュール設計も。IR情報Yahoo!ファイナンスバフェット・コード株探
3132マクニカホールディングス独立系半導体商社IR情報Yahoo!ファイナンスバフェット・コード株探
3436SUMCOシリコンウェーハ、特殊加工シリコンウェーハなどIR情報Yahoo!ファイナンスバフェット・コード株探
4043トクヤマ高純度多結晶シリコン、電子工業用高純度IPA、CMP用シリカ などIR情報Yahoo!ファイナンスバフェット・コード株探
4063信越化学工業シリコンウェーハ、フォトレジスト、封止材料、フォトマスクブランクス、ペリクルなどIR情報Yahoo!ファイナンスバフェット・コード株探
*4185JSR
*上場廃止に伴い5/1付で除外
フォトレジスト、CMPスラリーなどIR情報Yahoo!ファイナンスバフェット・コード株探
4186東京応化工業フォトレジストなどIR情報Yahoo!ファイナンスバフェット・コード株探
4203住友ベークライト封止材料、ダイシングテープ、フォトレジスト用原料、ウェハーコート樹脂 などIR情報Yahoo!ファイナンスバフェット・コード株探
4272日本化薬封止材用のエポキシ樹脂などIR情報Yahoo!ファイナンスバフェット・コード株探
4369トリケミカル研究所先端半導体用高純度化学材料IR情報Yahoo!ファイナンスバフェット・コード株探
4401ADEKA特殊ガス、TSV向け銅めっき液、フォトレジスト用原料などIR情報Yahoo!ファイナンスバフェット・コード株探
4626太陽ホールディングスソルダーレジスト、ビルドアップ用穴埋インキ・層間絶縁フィルムなどIR情報Yahoo!ファイナンスバフェット・コード株探
4980デクセリアルズ異方性導電膜(ACF)、光学弾性樹脂(SVR)、反射防止フィルム などIR情報Yahoo!ファイナンスバフェット・コード株探
6146ディスコ半導体向け切断・切削・研磨装置、装置消耗品などIR情報Yahoo!ファイナンスバフェット・コード株探
6315TOWA封止や切断加工など半導体後工程用製造装置IR情報Yahoo!ファイナンスバフェット・コード株探
6323ローツェウェーハ搬送システム、フォトマスク・レチクル搬送システムIR情報Yahoo!ファイナンスバフェット・コード株探
6526ソシオネクストSoC(System-on-Chip)の設計・開発および販売IR情報Yahoo!ファイナンスバフェット・コード株探
6707サンケン電気パワー半導体・アナログ半導体の製造および販売IR情報Yahoo!ファイナンスバフェット・コード株探
6723ルネサスエレクトロニクス車載向け半導体(マイコン、SoCなど)などIR情報Yahoo!ファイナンスバフェット・コード株探
6728アルバック半導体製造装置、製造装置向け真空部品などIR情報Yahoo!ファイナンスバフェット・コード株探
6758ソニーグループCMOSイメージセンサー等の設計・製造・販売などIR情報Yahoo!ファイナンスバフェット・コード株探
6857アドバンテスト半導体検査装置各種 などIR情報Yahoo!ファイナンスバフェット・コード株探
6890フェローテックホールディングスシリコンウェーハ、再生ウェーハ、製造装置部品、装置部品洗浄などIR情報Yahoo!ファイナンスバフェット・コード株探
6920レーザーテックフォトマスク・マスクブランクス・各種ウェーハ検査装置IR情報Yahoo!ファイナンスバフェット・コード株探
6963ロームパワー半導体、半導体素子、カスタムLSIなどIR情報Yahoo!ファイナンスバフェット・コード株探
7729東京精密各種半導体製造装置(ダイシング・CMP・グラインダ・プロービングなど)IR情報Yahoo!ファイナンスバフェット・コード株探
7735SCREENホールディングス洗浄装置をはじめとした各種製造装置、検査装置などIR情報Yahoo!ファイナンスバフェット・コード株探
7741HOYAマスクブランクス・フォトマスクIR情報Yahoo!ファイナンスバフェット・コード株探
8035東京エレクトロン各種半導体製造装置IR情報Yahoo!ファイナンスバフェット・コード株探
8154加賀電子独立系総合エレクトロニクス商社IR情報Yahoo!ファイナンスバフェット・コード株探
日本経済新聞社が公表したリストを基に筆者加筆

構成銘柄の入れ替え記録

 日経半導体指数は、年1回、定期見直しを実施し11月末に銘柄入れ替えを実施するとされています。現時点ではまだ公表段階のため入れ替えはありませんが、銘柄入れ替えの際にこちらに記録していきます。

「日経半導体株指数」過去分の指数値(試算値)

※日経平均(TR、トータルリターン)は基点日(2011年11月末)の値を1,000として指数化 出典:日本経済新聞社、PR TIMES

構成銘柄の半導体関連事業の紹介

2760 東京エレクトロンデバイス

 東京エレクトロンデバイスは、東京エレクトロンが約3割出資するエレクトロニクス商社です。売上高のうち約88%を半導体及び電子デバイス事業が占め、品目別売上高構成比ではアナログIC約35%・マイコン約20%、ロジックIC約17%となっており、テキサスインスツルメンツ・インフィニオン・NXPなどから仕入れています。
 また、上記の商社機能以外にも、半導体製造装置向け基板製造・設計製造受託・評価ボード・開発キット・化合物半導体ウェーハ検査装置といった、自社ブランドでもメーカー機能強化にも取り組んでいます。

出典:東京エレクトロン デバイス株式会社 インベスターズガイド2023

【参考】

東京エレクトロン デバイス株式会社 インベスターズガイド2023|リンク

製品・サービス|inrevium|東京エレクトロンデバイス

3132 マクニカホールディングス

 マクニカは独立系の半導体商社として国内トップ・世界シェア5位を誇っています。売上の9割を半導体事業が占め、その内50%が産業機器向け・20%が車載向けとなっており、この2つの市場に特に注力しています(2024年3月期第2四半期)。

【参考】

トップページ | マクニカホールディングス (macnica.co.jp)

統合報告書 LIMITLESS 2023

2024年3月期 第9期第2四半期株主通信

3436 SUMCO

 SUMCOは半導体の素材となるシリコンウェーハの専業メーカーです。シリコンウェーハは日本が強みを持つ素材であり、信越化学工業とSUMCOの2社で過半数の世界シェアを誇っています。シリコンウェーハは、溶解した高純度多結晶シリコンを引き上げてシリコンインゴットを形成しそれをスライスすることで製造されます。SUMCOは2023年にシリコンインゴットの原料となる多結晶シリコン事業を三菱マテリアルから取得し、原料からの一貫した事業体制を構築しています。

出典:株式会社SUMCO
出典:株式会社SUMCO

【参考】

製品情報 | 株式会社SUMCO (sumcosi.com)

アニュアルレポート 2022 (2022年12月期), 株式会社SUMCO

第25期中間期 株主通信(2023年1月~2023年6月, 株式会社SUMCO

4043 トクヤマ

 トクヤマは、シリコンウェーハ原料の高純度多結晶シリコン、洗浄工程で使用される電子工業用高純度IPA、CMPスラリー向け高純度シリカ、窒化アルミニウムなど、幅広い分野の製品を手掛けています。これらの製品を含む電子先端材料事業は売上高全体の25%となっています。

出典:株式会社トクヤマ
出典:株式会社トクヤマ

【参考】

エレクトロニクス | 製品 | Tokuyama

株式会社トクヤマ 2022年度統合報告書(アニュアルレポート)|リンク

4063 信越化学工業

 信越化学工業は半導体向けの素材・部材において、シリコンウェーハ世界シェア1位、フォトレジスト世界シェア2位、フォトマスクブランクス世界シェア2位を誇っています。この他、素材では封止材料・化合物半導体ウェーハ、製造部材ではウェーハ搬送容器・ペリクル・シリコン単結晶引き上げ用石英るつぼ、製造装置ではウェハー真空重ね合わせ装置・レーザデボンド装置といった、幅広い範囲の製品を提供しています。

出典:信越化学工業株式会社

【参考】

事業・製品 | 信越化学工業株式会社 (shinetsu.co.jp)

信越化学ってどんな会社? | 信越化学 早わかり講座 | IR情報 | 信越化学工業株式会社 (shinetsu.co.jp)

4185 JSR *上場廃止により5/1付で除外

 JSRは、主に半導体製造における前工程(ウェーハプロセス)の中のフォトリソグラフィー工程で使用されるフォトレジスト及び平坦化工程で使用される素材であるCMPスラリーに強みを持つ企業です。JSRの半導体関連事業は「半導体材料」と「実装材料」の2つから構成されています。各事業を構成する製品群は以下の通りです。

「半導体材料」
半導体材料事業は、ウェーハに紫外線を照射して回路を形成するフォトリソグラフィ工程関連素材からなる「リソグラフィー材」と、ウェーハを研磨するCMP(Chemical Mechanical Polishing(化学的機械研磨))工程関連素材からなる「プロセス材料」から構成されています。

*リソグラフィー材
・フォトレジスト
・液浸露光用トップコート
・多層ハードマスク
・次世代リソグラフィー用材料(メタルレジスト)

*プロセス材料
・CMPスラリー
・CMP後洗浄剤

「実装材料」
実装材料事業は、シリコンウェハー上に出来た数百のチップをひとつひとつ切り出し、最終製品へと仕上げていく後工程で使用される素材で構成されています。
・めっき用厚膜フォトレジスト ELPAC® THBシリーズ
・感光性絶縁材料 ELPAC® WPRシリーズ
・リフトオフプロセス用フォトレジスト LUMILON® LP シリーズ

前述のとおり、JSRはフォトレジストとCMPスラリーにおいて強みを持っています。JSRグループは現在の主要世代であるArFフォトレジスト、また今後3nmを含め市場が拡大するEUVフォトレジストなどを主力製品としていきます。加えて、それらフォトレジストとの組み合わせで使用される多層材料やCMP材料、洗浄剤、実装材料といった多様な製品群を提供しています。

出典:JSR株式会社

 フォトレジストに関しては日本が強みを持つ素材分野であり、JSR・東京応化工業・信越化学工業・富士フィルム・住友化学の5社で世界シェア90%以上を占めているといわれています。その中で、JSRは全体の約28%と世界トップシェアを誇っています。近年では、2021年度には、日本の四日市工場における新たなリソグラフィー材料工場建設を決定するとともに、EUV(極端紫外線)リソグラフィー技術で注目されている「メタルオキサイドレジスト」の設計・開発・製造のエキスパートであるInpriaを買収し、今後の半導体材料事業の推進体制を整えるなど、積極的な投資を実施しています。

【参考】

製品情報 | JSR株式会社

統合報告書 | IRライブラリ | IR情報 | JSR株式会社

4186 東京応化工業

 東京応化工業は、主に半導体製造における前工程(ウェーハプロセス)の中のフォトリソグラフィー工程で使用されるフォトレジストに強みを持つメーカーです。半導体用フォトレジスト全体の世界シェア1位(2022年の出荷量ベース)であり、幅広い露光波長に対応したフォトレジストを製造しています。
 また、後工程向けにも注力しており、先端半導体実装向けのバンプ形成用レジストや再配線/RDL形成めっき用フォトレジストも取り扱っています。

出典:東京応化工業株式会社
出典:東京応化工業株式会社 2023年12月期第2四半期決算説明会資料

【参考】

フォトレジスト|東京応化工業【フォトレジスト/化学薬品/装置】 (tok-pr.com)

半導体製造前工程 | 東京応化工業株式会社 (tok.co.jp)

半導体製造後工程 | 東京応化工業株式会社 (tok.co.jp)

東京応化工業株式会社 2023年12月期第2四半期決算説明会資料|リンク

4203 住友ベークライト

 住友ベークライトは、主に半導体製造における後工程(組立工程)で使用される素材に強みを持つメーカーです。。特に、半導体封止材(スミコン®EME)において世界でのトップシェア40%を誇り、SiP/FOWLP/PLPといった先端半導体パッケージング分野で高いシェアを保持しています。
 売上げ全体の約28%を半導体関連材料が占めており、先述の封止材以外にも、ウェハーコート樹脂・フォトレジスト用原料(フェノールレジン)・ダイシングテープ/UV剥離粘着テープを取り扱っています。
 近年は、先端半導体バッケージFOWLP向けやモビリティ向けの封止材に注力しています。

出典:住友ベークライト株式会社 統合報告書2023
出典:住友ベークライト株式会社 2024年3月期 第2四半期 決算説明資料
出典:住友ベークライト株式会社 2024年3月期 第2四半期 決算説明資料

【参考】

エレクトロニクス・電機 | 住友ベークライト株式会社 (sumibe.co.jp)

住友ベークライト株式会社 統合報告書2023|リンク

住友ベークライト株式会社 2024年3月期 第2四半期 決算説明資料|リンク

九州住友ベークライト株式会社での半導体封止材の新規生産設備の導入について | 住友ベークライト株式会社 (sumibe.co.jp)

4272 日本化薬

 日本化薬の主力な半導体関連製品は、世界シェア1位を誇る環境対応型エポキシ樹脂です。特に半導体の封止材や基板といった半導体パッケージ周辺の材料向けを中心に、ハロゲンやリン系難燃剤を使用しないエポキシ樹脂に強みを持っています。
 先述のエポキシ樹脂製品を含む機能性材料事業ではほかにも、MEMS用レジスト・クリーナー(現像液・レジスト剥離液)を製造しています。

【参考】

機能性材料事業 | ファインケミカルズ事業領域 | 事業・製品 | 世界的すきま発想。日本化薬株式会社 (nipponkayaku.co.jp)

4369 トリケミカル研究所

 トリケミカル研究所は、最先端のロジック・メモリ半導体の製造で使われる高純度・高付加価値な薬品に強みを持つメーカーです。当社にしか製造できない商品領域を見出し、少量だが必要な不可欠な薬品に集中した開発・製造を行うことで、高い利益率を維持するグローバルニッチトップ企業となりました。
 売上高全体の約9割をSi半導体向け薬品が占め、その中でも近年はメモリー向けが約6割を占めています。

出典:株式会社トリケミカル研究所 2023統合報告書
株式会社トリケミカル研究所 第46期(2024年1月期) 第2四半期決算説明資料 を基に筆者編集

【参考】

Si半導体 製品案内|株式会社トリケミカル研究所 (trichemical.com)

化合物半導体/酸化物半導体 製品案内|株式会社トリケミカル研究所 (trichemical.com)

株式会社トリケミカル研究所 2023統合報告書|リンク

株式会社トリケミカル研究所 第46期(2024年1月期) 第2四半期決算説明資料

4401 ADEKA

 ADEKAは、半導体製造の前工程(ウェーハプロセス)におけるALD成膜向け材料やエッチング工程むけ高純度エッチングガス、フォトレジスト原料(光酸発生材)といった素材に強みを持つメーカーです。特に、先端半導体メモリ向け高誘電材料(ALD材料)では世界シェア50%以上を有するほか、EUV・ArF露光向けフォトレジストの原料となる光酸発生材に強みを持っています。

出典:株式会社ADEKA 日経IR・個人投資家フェア(2023年9月開催)プレゼンテーション資料
出典:株式会社ADEKA 日経IR・個人投資家フェア(2023年9月開催)プレゼンテーション資料

【参考】

3分でわかるADEKA|ADEKA

株式会社ADEKA 日経IR・個人投資家フェア(2023年9月開催)プレゼンテーション資料|リンク

半導体材料|情報・電子化学品|化学品|ADEKA

4626 太陽ホールディングス

 太陽ホールディングスは、半導体製造における後工程(組立工程)で使用されるパッケージ基板・プリント基板向け素材に強みを持つメーカーです。半導体関連事業では、主にプリント基板(PCB)用部材とフラットパネル・ディスプレイ(FPD)用部材を提供しています。PCB用部材は、ソルダーレジスト(SR)をはじめとしたPCBに使用される化学材料で、世界トップシェアを持っています。FPD用部材は、タッチパネルに使用される導電性材料で、高い技術力と品質で市場をリードしています。また、半導体実装材料のラインアップを拡充するために、層間絶縁材料や封止材などの新しい製品分野にも取り組んでいます。

出典:太陽ホールディングス株式会社 2023年3月期統合報告書

【参考】

事業フィールド:エレクトロニクス事業|太陽ホールディングス 新卒採用サイト (taiyo-hd.co.jp)

太陽ホールディングス株式会社 2023年3月期統合報告書|リンク

ソルダーレジスト(SR)とは│太陽ホールディングス株式会社 (taiyo-hd.co.jp)

太陽HD、ソルダーレジスト周辺の半導体材料に力 – 化学工業日報 (chemicaldaily.co.jp)

4980 デクセリアルズ

 デクセリアルズ株式会社は、電子材料や光学材料を提供する化学メーカーです。半導体関連事業では、以下のような製品や技術を開発しています。

異方性導電膜(ACF):ディスプレイとICチップの接続に使われるフィルム型の接合材料で、世界トップシェアを誇ります12。粒子整列型ACFは高い接続信頼性を持ち、有機ELスマホなどに採用されています。
反射防止フィルム:ディスプレイの最表面に貼るフィルムで、スパッタリング技術で製造し、世界トップシェアを持ちます。車載ディスプレイにも需要が増えています。
光学弾性樹脂(SVR):ディスプレイ内部を貼り合わせる液状接着剤で、世界トップシェアを持ちます。
無機波長板・無機偏光板:光半導体技術の一種で、光の波長や偏光をコントロールすることができます。

 また、2022年には受発光半導体デバイス・複合半導体デバイスおよびモジュールを手掛ける京都セミコンダクタを子会社化し、高速通信やセンシングの領域強化を図っています。

出典:デクセリアルズ株式会社 デクセリアルズ統合レポート2023

【参考】

デクセリアルズ株式会社 デクセリアルズ統合レポート2023|リンク

デクセリアルズ株式会社 株式会社 京都セミコンダクターの株式の取得(子会社化)に関するお知らせ|リンク

6146 ディスコ

 ディスコは「高度なKiru・Kezuru・Migaku」の事業領域に強みを持つ半導体製造装置メーカーです。以下の図に示すように、ウェーハ製造工程や前工程(ウェーハプロセス)におけるグラインダ(削る)やポリッシャ(磨く)、後工程(組立工程)におけるダイシング(切る)装置に特化した事業を展開しています。加えて、それらの工程で使われるダイシングブレードやグラインディングホイールといった消耗品も併せて製造・販売しています。
 直近では、次世代パワー半導体として注目されているSiCやGaN向けの製造装置・プロセスや、「中工程リサーチセンター」での省人化・無人化プロセスの開発に力を入れています。

出典:株式会社ディスコ ディスコってどんな会社? | 株式会社ディスコ (disco.co.jp)
出典:株式会社ディスコ 2023年 | ニュース | 株式会社ディスコ (disco.co.jp)
出典:株式会社ディスコ 「中工程リサーチセンター」を開所

【参考】

ディスコってどんな会社? | 株式会社ディスコ (disco.co.jp)

株式会社ディスコ 製品・技術情報 (disco.co.jp)

株式会社ディスコ GaNウェーハ生産に最適なKABRA®プロセスを開発|リンク

株式会社ディスコ SiCなど高硬質素材向け、チップ分割装置を開発|リンク

株式会社ディスコ 「中工程リサーチセンター」を開所|リンク

6315 TOWA

 TOWAは、半導体製造における後工程(組立工程)でのチップ封止工程(モールディング工程)で使用される装置に強みを持つメーカーです。売り上げの約77%を半導体事業が占めています。特に、モールディング装置では金型から自社で製造しているほか、トランスファー成形とコンプレッション成形の2種類のモールディング装置に強みを持っています。加えて、モールディング後の個片化プロセスで使用されるシンギュレーション装置も併せて製造しています。

出典:TOWA株式会社 TOWA統合報告書 2023
出典:TOWA株式会社 2024年3月期 第2四半期決算説明会資料(機関投資家・アナリスト向け)

【参考】

TOWA株式会社 TOWA統合報告書 2023|リンク

TOWA株式会社 2024年3月期 第2四半期決算説明会資料(機関投資家・アナリスト向け)

6323 ローツェ

 ローツェは、半導体製造における半導体ウェーハの搬送技術に強みを持つメーカーです。半導体関連事業においては、半導体製造装置メーカーと半導体デバイスメーカーを顧客としています。半導体製造装置向けには、装置中でのウェーハ搬送ロボット、搬送容器の開閉機構(ロードポート)、およびそれらのモジュール(EFEM・真空プラットフォーム)、ウェーハ位置決め装置(アライナ)を提供しています。半導体デバイスメーカー向けには、搬送容器間でのウェーハ転送装置(ソータ)や搬送装置の保管と環境制御を行う装置(N2パージウェーハストッカ)を提供しています。
 また、2023年には半導体製造工程における不純物分析装置を手掛けるイアス社を子会社化し、ローツェのクリーン搬送技術とイアス社の不純物検査技術のシナジー発揮を目指しています。
 2023年度の売上のうち約86%を半導体関連装置事業が占めています。

出典:ローツェ株式会社 2024年2月期 第2四半期決算説明資料
出典:ローツェ株式会社 2023年2月期 決算説明資料

【参考】

製品情報 | ローツェ株式会社 (rorze.com)

ローツェ株式会社 2024年2月期 第2四半期決算説明資料

ローツェ株式会社 2023年2月期 決算説明資料

ローツェ株式会社 検査工程の自動化・高度化を提供する株式会社イアス(東京都日野市、以下イアス社)がグループへ |リンク

6526 ソシオネクスト

 ソシオネクストは、富士通とパナソニックの半導体設計事業を統合してできたファブレス企業(自社で工場を持たず設計や販売に特化)です。ソシオネクストは、顧客のニーズに合わせて、商品化プロセスの上流段階から参画し、差別化を実現する「ソリューションSoC」を提供しています。SoCとは、システムに必要な機能を1つの半導体チップに集約したものです。ソシオネクストは、自動車、ネットワーク/データセンター、スマートデバイスなどの分野で、高い技術力と品質で、世界の半導体メーカーやデバイスメーカーとの取引を拡大しています。
 直近では売上げの半数以上を5-7nmノードの製品が占めるほか、TSMC の最新の 3nm 車載プロセス 「N3A」を採用した ADAS (先進運転支援システム) および自動運転向けのカスタム SoC (System-on-Chip) 開発に着手しています。
 加えて、国内自走車メーカーを中心に設立された「自動車用先端 SoC 技術研究 組合」(Advanced SoC Research for Automotive/ASRA)にも参画しています。

出典:株式会社ソシオネクスト 2024年3⽉期 第3四半期決算説明資料

【参考】

株式会社ソシオネクスト 2024年3⽉期 第3四半期決算説明資料

株式会社ソシオネクスト 「ソシオネクスト、3nm 車載プロセス採用 ADAS および 自動運転向け SoC の開発に着手」|リンク

自動車用先端 SoC 技術研究組合 自動車メーカーを中心に 12 社で「自動車用先端SoC技術研究組合」を設立|リンク

6707 サンケン電気

 サンケン電気は、自動車・白物家電・産業機器向けのパワー半導体デバイス・モジュールに特化した強みを持つメーカーです。直近の売上げでは自動車向けが過半数を占め、残りが白物家電向けと産業機器向けで半分ずつになっています。また、中期経営計画としてxEV向けのパワーモジュールに力を入れるとしています。

出典:サンケン電気株式会社 サンケンレポート 2023(和) 
出典:サンケン電気株式会社 2024年3月期 第2四半期決算説明会資料

【参考】

製品一覧 |サンケン電気 (sanken-ele.co.jp)

サンケン電気株式会社 サンケンレポート 2023(和)

サンケン電気株式会社 2024年3月期 第2四半期決算説明会資料|リンク

6723 ルネサスエレクトロニクス

 ルネサスエレクトロニクスは、半導体デバイスメーカーとして高い世界シェアを持つマイコンに強みを持つメーカーです。組み込みプロセッサを中心に、アナログ&パワー、コネクティビティなどの各種半導体を、自動車、産業、インフラ、IoT分野に提供しています。「アナログ+パワー+組み込みプロセッシング+コネクティビティ」のソリューションである「ウィニング・コンビネーション」に注力しています。
 2010年にNECエレクトロニクスとルネサステクノロジ(日立・三菱電機の半導体事業を分社化後に統合)が統合してルネサスエレクトロニクスとしての事業をスタートしました。その後の経営不振により2013年に産業革新機構(現:INCJ)の傘下に入り事業再生を余儀なくされますが、2023年11月のINCJによる全株式の売却完了を経て再スタートを切っています。加えて、2017年以降、Intersil・IDT・Dialogをはじめとした7つの企業を買収するなど、製品ポートフォリオ拡充を目的としたM&Aを積極的に実施しています。

出典:ルネサス エレクトロニクス株式会社
出典:ルネサス エレクトロニクス株式会社

【参考】

ルネサスエレクトロニクス 会社概要 2024年2月|リンク

事業紹介 | Renesas

6728 アルバック

 アルバックは、真空技術を基盤とした産業・研究機関向けの製品・技術に強みを持つメーカーです。半導体及び電子部品製造装置・コンポーネント・材料の3事業分野において、半導体関連の製品を提供しています。
 半導体製造装置事業では、スパッタリング装置を含む薄膜形成装置、アッシング、イオン注入、エッチングといった幅広い種類の製造装置を取り扱っており、売上げの3割強をこの分野が占めています。
 コンポーネント事業では真空環境を必要とする半導体製造装置向けに、真空ポンプ・真空計・バルブ・真空動作ロボットといったコンポーネントや各種電源を取り扱っており、売上げの約15%をこの分野が占めています。
 材料分野では、薄膜形成技術の一つであるスパッタリングにおける薄膜の材料となるターゲット材を取り扱っています。

出典:株式会社ULVAC ULVAC VALUE REPORT 2023
出典:株式会社ULVAC 装置|製品紹介|アルバック (ulvac.co.jp)
出典:株式会社ULVAC コンポーネント|事業領域|アルバック (ulvac.co.jp)

【参考】

ULVAC VALUE REPORT|サステナビリティ|アルバック

株式会社ULVAC コンポーネント|事業領域|アルバック (ulvac.co.jp)

6758 ソニーグループ

 ソニーグループは、電子の眼ともいわれるCMOSイメージセンサに強みを持つメーカーです。グループ全体の売上げの約10%をイメージング&センシング・ソリューション(I&SS)事業が占め、その内の約9割がCMOSイメージセンサによるものです。特に、ソニーが業界に先駆けて開発した開発した画素部とロジック回路部を分けて縦に積層する積層型CMOSイメージセンサは、スマホ向けカメラに搭載されるイメージセンサの業界標準となっています。
 2022年度はI&SS事業のうち74%をモバイル向けイメージセンサが占め、その他向けのイメージセンサは16%となっています。今後もモバイル向けでの大判化や高性能化がイメージセンサ事業をけん引する見通しである一方で、モバイル向け以外の分野での用途・シェアの拡大を併せて進めています。特に、車載向けでは自動運転やADAS(先進運転支援システム)向けにイメージセンサの需要増が見込まれることから、モバイルに次ぐ注力分野として顧客開拓を進めています。
 直近では、長崎や熊本におけるイメージセンサーの増産投資を進めているほか、JASM(TSMC熊本工場)に少数株主として出資しイメージセンサ向けのロジック半導体の安定調達を目指しています。また、車載領域に加え、エッジAI処理技術を生かした新製品やソフトウェアの開発などの研究開発を進めいています。

出典:ソニーグループ株式会社 Corporate Report 2023 統合報告書
出典:積層型 CMOS イメージセンサの進化と最新技術
出典:ソニーグループ株式会社 2023年度 事業説明会 イメージング&センシング・ソリューション(I&SS)分野 [PDF:4.48MB]

【参考】

イメージセンサー | イメージセンサー | 製品・ソリューション | ソニーセミコンダクタソリューションズグループ (sony-semicon.com)

ソニーグループ株式会社 2023年度 事業説明会 イメージング&センシング・ソリューション(I&SS)分野 [PDF:4.48MB]

ソニーグループ株式会社 Corporate Report 2023 統合報告書

積層型 CMOS イメージセンサの進化と最新技術|リンク

6857 アドバンテスト

 アドバンテストは、半導体製造工程における検査工程向け検査装置に強みを持つメーカーです。半導体検査向けのテスターではアメリカのテラダインとの2社でほぼ市場を寡占しているほか、GPUを含むハイパフォーマンスコンピューティング(HPC)向けでは高いシェアを維持しています。
 主力製品である半導体試験装置は、SoC半導体用のテスタとメモリ半導体用のテスタの2つに大別され、売上高の約7割を占めています。また、メカトロニクス関連事業では、後工程でしようされるテスト・ハンドラや、電子ビーム技術を活用したEUVマスク用の走査型電子顕微鏡(CD-SEM)などを取り扱っています。

出典:株式会社アドバンテスト 統合報告書 2023
出典:株式会社アドバンテスト 事業セグメントと主要製品 | 個人投資家の皆様へ | 株式会社アドバンテスト (advantest.com)
出典:株式会社アドバンテスト 事業セグメントと主要製品 | 個人投資家の皆様へ | 株式会社アドバンテスト (advantest.com)

【参考】

株式会社アドバンテスト 統合報告書 2023|リンク

事業セグメントと主要製品 | 個人投資家の皆様へ | 株式会社アドバンテスト (advantest.com)

ChatGPTがアドバンテスト成長のけん引役-半導体試験装置拡大に期待 – Bloomberg

6890 フェローテックホールディングス

 フェローテックは、半導体製造で使用される各種部材や製造装置部品・シリコンウェーハなどの素材分野に強みを持つメーカーです。特に、フェローテックが世界で初めて商品化した真空シールは世界シェアトップの65%を有しており、半導体製造装置に必要不可欠な部品となっています。また、石英・シリコン・セラミック・SiC製の半導体製造装置向け治具・消耗材も取り扱っています。

出典:株式会社フェローテックホールディングス 2024年3月期第2四半期決算説明資料

【参考】

株式会社フェローテックホールディングス 2024年3月期第2四半期決算説明資料|リンク

ようこそフェローテックへ : 独自のコア技術 | 株式会社フェローテックホールディングス (ferrotec.co.jp)

6920 レーザーテック

 レーザーテックの主力製品はフォトマスク関連の検査装置です。DUV・EUV露光向けのフォトマスク・素材のマスクブランクス・原料のサブストレートに対する各種検査計測装置を取り扱っています。中でもEUV露光向けフォトマスク関連検査装置は高い世界シェアを誇る業界標準となっています。

出典:レーザーテック株式会社

 また、フォトマスク以外にもウェーハ関連の検査・測定装置を取り扱っています。次世代パワー半導体であるSiC・GaNウェーハ欠陥検査装置や、3次元実装向けTSV・ウェハバンプ検査測定装置といった、自社の測定技術を生かしたニッチな測定装置をラインナップしています。

出典:レーザーテック株式会社

【参考】

EUVマスク関連検査装置について | レーザーテック株式会社 (lasertec.co.jp)

製品 | レーザーテック株式会社 (lasertec.co.jp)

6963 ローム

 ロームは、パワー・アナログ分野を中心にLSI・半導体素子・モジュール・抵抗器といった幅広い分野の製品に強みを持つデバイスメーカーです。2022年度の売上高のうち、LSI事業が46.0%、SiCを含む半導体素子事業が41.8%を占めています。
 近年はSiCパワー半導体とSiCウェーハ製造に注力しており、2022年度の段階ではSiCデバイス世界シェア5位・SiCウェーハはロームグループのSiCrystalにおいて世界シェア3位を有しています。加えて、現行の6インチからの大口径化を図るために8インチウェーハを用いたプロセス開発に力を入れている他、ソーラーフロンティア株式会社の旧国富工場(宮崎県)の工場資産を取得してSiCパワー半導体ラインへ転用するなど、積極的な生産能力の拡充を推進しています。

出典:ローム株式会社 ROHM Group Integrated Report(統合報告書)2023
出典:ローム株式会社 2024年3月期決算説明会資料

【参考】

ローム株式会社 ROHM Group Integrated Report(統合報告書)2023|リンク

ローム株式会社 2024年3月期決算説明会資料|リンク

7729 東京精密

 東京精密は、半導体製造装置と精密測定装置に強みを持つメーカーです。売り上げの8割弱を検査装置と加工装置からなる半導体製造装置事業が占めています。
 半導体製造装置事業では、ウェーハ上に形成されたチップの電気的特性を試験するプロービングマシン、ウェーハからチップを1個1個分離するダイシングマシン、ウェーハの薄片化や平たん化を行うポリッシュグラインダ(グラインダ)などを取り扱っています。特に、製造装置事業の売上げにおいて過半数を占めるプロービングマシンでは高い世界シェアを有しています。

出典:株式会社東京精密 半導体製造装置|製品・ソリューション | ACCRETECH – 東京精密

【参考】

出典:株式会社東京精密 半導体製造装置|製品・ソリューション | ACCRETECH – 東京精密

7735 SCREENホールディングス

 SCREENは、主に半導体製造工程における前工程で使用される洗浄装置に強みを持つメーカーです。2023年3月期の売上げのうち80.5%を半導体製造装置事業(SPE事業)が占めています。
 半導体製造装置事業のうち、前工程向けでは洗浄・エッチング・コータデベロッパ・熱処理・計測・検査装置を取り扱っており、後工程向けでは先端パッケージ向けの直接描画装置を取り扱っています。その中でも特に強みを持つのが洗浄装置で、枚葉式洗浄装置では33%、バッチ式洗浄装置では48%、スピンスクラバでは53%の世界シェアを獲得しており(2022年)、いずれも世界トップシェアとなっています。

出典:株式会社SCREENホールディングス アニュアルレポート2023(統合報告書)
出典:株式会社SCREENホールディングス アニュアルレポート2023(統合報告書)
出典:株式会社SCREENホールディングス マーケットデータ | 株式会社SCREENホールディングス

【参考】

株式会社SCREENホールディングス アニュアルレポート2023(統合報告書)|リンク

株式会社SCREENホールディングス マーケットデータ | 株式会社SCREENホールディングス

7741 HOYA

 HOYAは、半導体製造工程における前工程で回路をウェーハに転写するフォトリソグラフィー工程(露光工程)で使用されるフォトマスクおよびその原料のマスクブランクスに強みを持つメーカーです。半導体用ブランクスを研究開発‧製造し、半導体メーカーやファウンドリ、マスクショップに対して販売しています。マスクブランクスでは世界シェアトップを誇っており、特にEUV露光向けフォトマスクブランクスでは7~8割の高シェアとなっています。

出典:HOYA株式会社 統合報告書より筆者編集
出典:レーザーテック株式会社

【参考】

情報・通信事業|事業概況|HOYA 統合報告書2023|HOYA GROUP

情報・通信事業 | 事業概況 | HOYA 統合報告書2022 | HOYA GROUP

EUVマスク関連検査装置について | レーザーテック株式会社 (lasertec.co.jp)

8035 東京エレクトロン

 半導体製造装置メーカーである東京エレクトロンは、前工程向けから後工程向けに至るまで幅広い種類の製造装置を取り扱っています。
 前工程向け装置においては、コータデベロッパ・ドライエッチング・成膜・洗浄・プローバで高いシェアを持っています。中でもフォトリソグラフィー工程において露光装置に隣接されフォトレジスト塗布工程を担うコータデベロッパでは特に高いシェアを持ち、EUV露光装置向けのコータデベロッパでは世界シェア100%となっています。
 後工程向けでは、次世代デバイス向けで導入が進められている3次元実装で使用されるウェーハ貼り合わせ装置(ウェーハボンディング・デボンディング装置)で高いシェアを有しています。

出典:東京エレクトロン株式会社 東京エレクトロン統合報告書2023
出典:東京エレクトロン株式会社 東京エレクトロン統合報告書2023
出典:東京エレクトロン株式会社 コーポレートアップデート(2024年2月15日

【参考】

東京エレクトロン株式会社 東京エレクトロン統合報告書2023|リンク

東京エレクトロン株式会社 コーポレートアップデート(2024年2月15日

8154 加賀電子

 加賀電子は、独立系のエレクトロニクス商社としてマクニカ・豊田通商に次いで国内シェア3位を誇っています(2023)。電子部品・半導体の調達・代理販売事業と基板実装のEMS事業の2つが主要事業であり、それぞれの売上比率は約66%・約25%となっています。

出典:加賀電子株式会社 会社説明資料2023年度版
出典:加賀電子株式会社 会社説明資料2023年度版
出典:加賀電子株式会社 会社説明資料2023年度版

【参考】

加賀電子株式会社 会社説明資料2023年度版|リンク

参考資料・参考文献

日本経済新聞社 「日経半導体株指数」の公表開始について|PR TIMES|リンク

日経、半導体関連株の新指数 装置や素材など幅広く|日本経済新聞|リンク

コメント

タイトルとURLをコピーしました